博客
关于我
强烈建议你试试无所不能的chatGPT,快点击我
UVM:6.4.4 p_sequencer 的使用
阅读量:4221 次
发布时间:2019-05-26

本文共 379 字,大约阅读时间需要 1 分钟。

1.考虑如下情况,sequencer 有如下变量:

2.在sequence 发送transaction 时,必须设置此dmac 和smac,sequence 的body如何得到这两个值呢?

1)在介绍sequence 时,内部有m_sequencer, 直接使用m_sequencer 得到这两个变量:

编译错误:

因为m_sequencer 是uvm_sequencr_base (uvm_sequencr 的基类)类型,而不是my_sequencer 类型。

所以要cast:把m_sequencer 转换成my_sequencer:

但是好麻烦。所以内建了一个宏:uvm_declare_p_sequencer(SEQUENCER)

相当于声明如下变量:

自动将m_sequencer cast 成p_sequencer。在pre_body()前完成。

你可能感兴趣的文章
小猪考试中~
查看>>
理解,promise~~
查看>>
微软之行
查看>>
Application OR Research
查看>>
唉,这个时候还算好吧
查看>>
有趣的考试~~
查看>>
试依然在考,烧依然在发~~
查看>>
OS我爱你~~
查看>>
2006年了
查看>>
今天好消息不少。。
查看>>
偶尔也会感慨。。
查看>>
难得的轻闲-_-
查看>>
明天开始复习咯!
查看>>
第二天
查看>>
郁闷的问题
查看>>
阶段性胜利。。
查看>>
有点儿累了,最近特别能吃
查看>>
project的架构模式
查看>>
总结一下细节问题
查看>>
重新整合了一下代码
查看>>